search query: @keyword polymer / total: 26
reference: 12 / 26
« previous | next »
Author:Karaste, Kimmo
Title:Spin-on siloxane polymers in image sensor applications
Siloksaanipohjaisten spin-on polymeerien käyttö kuvakennojen valmistuksessa
Publication type:Master's thesis
Publication year:2010
Pages:ix + 88      Language:   eng
Department/School:Elektroniikan, tietoliikenteen ja automaation tiedekunta
Main subject:Optoelektroniikka   (S-104)
Supervisor:Honkala, Seppo
Instructor:Rantala, Juha
Electronic version URL: http://urn.fi/URN:NBN:fi:aalto-201203131417
OEVS:
Electronic archive copy is available via Aalto Thesis Database.
Instructions

Reading digital theses in the closed network of the Aalto University Harald Herlin Learning Centre

In the closed network of Learning Centre you can read digital and digitized theses not available in the open network.

The Learning Centre contact details and opening hours: https://learningcentre.aalto.fi/en/harald-herlin-learning-centre/

You can read theses on the Learning Centre customer computers, which are available on all floors.

Logging on to the customer computers

  • Aalto University staff members log on to the customer computer using the Aalto username and password.
  • Other customers log on using a shared username and password.

Opening a thesis

  • On the desktop of the customer computers, you will find an icon titled:

    Aalto Thesis Database

  • Click on the icon to search for and open the thesis you are looking for from Aaltodoc database. You can find the thesis file by clicking the link on the OEV or OEVS field.

Reading the thesis

  • You can either print the thesis or read it on the customer computer screen.
  • You cannot save the thesis file on a flash drive or email it.
  • You cannot copy text or images from the file.
  • You cannot edit the file.

Printing the thesis

  • You can print the thesis for your personal study or research use.
  • Aalto University students and staff members may print black-and-white prints on the PrintingPoint devices when using the computer with personal Aalto username and password. Color printing is possible using the printer u90203-psc3, which is located near the customer service. Color printing is subject to a charge to Aalto University students and staff members.
  • Other customers can use the printer u90203-psc3. All printing is subject to a charge to non-University members.
Location:P1 Ark Aalto  849   | Archive
Keywords:CMOS image sensor
spin-on
polymer
lightpipe
pixel
CMOS-kuvakenno
spin-on
polymeeri
valokanava
pikseli
Abstract (eng): The feasibility of siloxane based spin-on polymers in a complementary metal oxide semiconductor (CMOS) image sensor application is studied in this thesis.
After an introduction to the fundamental characteristics of light and how it behaves when propagating in matter and through interfaces, the basic operation principles of the most commonly used modern image sensors are reviewed.

The experimental part of the thesis will demonstrate the improvements achieved in image sensor performance when replacing conventional dielectrics with siloxane based polymers having specifically tuned refractive indices.
Results from optical modelling as well as measurement data from fully functional devices are used to demonstrate the enhanced device performance.
Silecs' high refractive index spin-on polymers are shown to significantly improve the quantum efficiency and reduce crosstalk of a small pixel size image sensor utilizing a lightpipe structure.
Up to 24% quantum efficiency and 19% crosstalk improvement was achieved in an IBM 2.2 µm pixel size sensor when comparing to a conventional structure.
Silecs' low refractive index polymer films used as passivation and anti-reflection coatings on the image sensor microlens array were also studied.
Lower reflectance from the microlens surface was achieved by properly tuning the overcoat film thickness and refractive index.

Additionally, the overcoat provides mechanical protection to the soft microlens material which can result in improved manufacturing yield.
Finally, photosensitized siloxane polymers are demonstrated to yield excellent photopatternability with using industry standard lithographic techniques.
Abstract (fin): Tässä työssä esitellään siloksaani-pohjaisten spin-on polymeerien tuomia etuja CMOS-kuvakennojen toimintaan.
Ensimmäisessä osassa tutustutaan valon perusominaisuuksiin ja kuinka se käyttäytyy kulkiessaan väliaineessa sekä rajapintojen yli.
Lisäksi käydään läpi nykyisin yleisimmin käytössä olevien kuvakennojen toimintaa sekä rakennetta.

Työn kokeellisessa osuudessa tullaan osoittamaan mitä etuja siloksaani-pohjaisilla polymeereillä on kennojen valmistuksessa tavallisesti käytettyihin eristekerroksiin verrattuna.
Työn aikana tutkittiin erityisesti Silecsin valmistamien korkean taitekertoimen spin-on polymeerikalvojen soveltuvuutta kennon valokanavarakenteeseen.

Parannukset erityisesti pieniä pikseleitä hyödyntävien CMOS-kuvakennojen kvanttihyötysuhteeseen sekä pikselien väliseen ylikuulumiseen havainnollistetaan sekä optisen mallinnuksen että käytännön mittausten avulla.
IBM:n 2.2 µm pikselikoon CMOS-kuvakennossa saavutettiin valokanavarakenteen avulla 24% parannus kvanttihyötysuhteessa ja 19% parannus ylikuulumisessa.

Lisäksi työssä arvioidaan matalan taitekertoimen omaavien polymeerikalvojen soveltuvuutta kennojen passivointiin ja heijastuksenestoon.
Havaittiin, että mikrolinssin pinnasta syntyviä heijastuksia pystyttiin merkittävästi vähentämään säätämällä kalvon paksuus ja taitekerroin sovellukseen sopivaksi.

Lopuksi havainnollistetaan suorakuvioitavien polymeerien tuomia etuja kennon valmistusprosessiin.
ED:2010-04-09
INSSI record number: 39421
+ add basket
« previous | next »
INSSI